tutorial pengembangan web terbaru
 

PHP FILTER_VALIDATE_INT Filter

<PHP Filter Referensi

Contoh

Periksa apakah variabel $ int adalah bilangan bulat:

<?php
$int = 100;

if (!filter_var($int, FILTER_VALIDATE_INT) === false) {
    echo("Variable is an integer");
} else {
    echo("Variable is not an integer");
}
?>
Menjalankan contoh »

Definisi dan Penggunaan

The FILTER_VALIDATE_INT filter digunakan untuk memvalidasi nilai sebagai integer.

FILTER_VALIDATE_INT juga memungkinkan kita untuk menentukan rentang untuk variabel integer.

kemungkinan pilihan dan bendera:

  • min_range - menentukan nilai integer minimum
  • max_range - menentukan nilai integer maksimum
  • FILTER_FLAG_ALLOW_OCTAL - memungkinkan nilai-nilai bilangan oktal
  • FILTER_FLAG_ALLOW_HEX - memungkinkan nilai-nilai angka heksadesimal

Note: Ketika menentukan pilihan dalam array. Pilihan harus dalam array multidimensi asosiatif dengan nama "options" .


Contoh lebih

FILTER_VALIDATE_INT dan Masalah Dengan 0 - Dalam contoh di atas, jika $ int ditetapkan untuk 0, fungsi di atas akan kembali "Variable is not an integer" . Untuk mengatasi masalah ini, gunakan kode di bawah ini:

contoh 1

Perbaiki kode untuk memvalidasi 0 sebagai integer:

<?php
$int = 0;

if (filter_var($int, FILTER_VALIDATE_INT) === 0 || !filter_var($int, FILTER_VALIDATE_INT) === false) {
    echo("Variable is an integer");
} else {
    echo("Variable is not an integer");
}
?>
Menjalankan contoh »

contoh 2

Periksa apakah suatu variabel kedua tipe INT, dan antara 1 dan 200:

<?php
$int = 122;
$min = 1;
$max = 200;

if (filter_var($int, FILTER_VALIDATE_INT, array("options" => array("min_range"=>$min, "max_range"=>$max))) === false) {
    echo("Variable value is not within the legal range");
} else {
    echo("Variable value is within the legal range");
}
?>
Menjalankan contoh »

<PHP Filter Referensi